ورود به حساب

نام کاربری گذرواژه

گذرواژه را فراموش کردید؟ کلیک کنید

حساب کاربری ندارید؟ ساخت حساب

ساخت حساب کاربری

نام نام کاربری ایمیل شماره موبایل گذرواژه

برای ارتباط با ما می توانید از طریق شماره موبایل زیر از طریق تماس و پیامک با ما در ارتباط باشید


09117307688
09117179751

در صورت عدم پاسخ گویی از طریق پیامک با پشتیبان در ارتباط باشید

دسترسی نامحدود

برای کاربرانی که ثبت نام کرده اند

ضمانت بازگشت وجه

درصورت عدم همخوانی توضیحات با کتاب

پشتیبانی

از ساعت 7 صبح تا 10 شب

دانلود کتاب Poly-SiGe for MEMS-above-CMOS Sensors

دانلود کتاب Poly-SiGe برای سنسورهای MEMS-above-CMOS

Poly-SiGe for MEMS-above-CMOS Sensors

مشخصات کتاب

Poly-SiGe for MEMS-above-CMOS Sensors

ویرایش: 1 
نویسندگان: , ,   
سری: Springer Series in Advanced Microelectronics 44 
ISBN (شابک) : 9789400767980, 9789400767997 
ناشر: Springer Netherlands 
سال نشر: 2014 
تعداد صفحات: 210 
زبان: English 
فرمت فایل : PDF (درصورت درخواست کاربر به PDF، EPUB یا AZW3 تبدیل می شود) 
حجم فایل: 7 مگابایت 

قیمت کتاب (تومان) : 44,000



کلمات کلیدی مربوط به کتاب Poly-SiGe برای سنسورهای MEMS-above-CMOS: مدارها و دستگاه‌های الکترونیکی، مدارها و سیستم‌ها، مواد نوری و الکترونیکی، فناوری نانو و مهندسی میکرو، شناسایی و ارزیابی مواد



ثبت امتیاز به این کتاب

میانگین امتیاز به این کتاب :
       تعداد امتیاز دهندگان : 5


در صورت تبدیل فایل کتاب Poly-SiGe for MEMS-above-CMOS Sensors به فرمت های PDF، EPUB، AZW3، MOBI و یا DJVU می توانید به پشتیبان اطلاع دهید تا فایل مورد نظر را تبدیل نمایند.

توجه داشته باشید کتاب Poly-SiGe برای سنسورهای MEMS-above-CMOS نسخه زبان اصلی می باشد و کتاب ترجمه شده به فارسی نمی باشد. وبسایت اینترنشنال لایبرری ارائه دهنده کتاب های زبان اصلی می باشد و هیچ گونه کتاب ترجمه شده یا نوشته شده به فارسی را ارائه نمی دهد.


توضیحاتی در مورد کتاب Poly-SiGe برای سنسورهای MEMS-above-CMOS



Polycrystalline SiGe به عنوان یک ماده ساختاری امیدوارکننده MEMS (سیستم‌های میکروالکترومکانیکی) ظاهر شده است زیرا خواص مکانیکی مورد نظر را در دماهای پایین‌تر در مقایسه با poly-Si فراهم می‌کند و امکان پس‌پردازش مستقیم در بالای CMOS را فراهم می‌کند. این ادغام یکپارچه CMOS-MEMS می تواند به MEMS فشرده تر با عملکرد بهبود یافته منجر شود. پتانسیل poly-SiGe برای MEMS یکپارچه سازی CMOS بالای آلومینیومی قبلاً نشان داده شده است. با این حال، پوسته پوسته شدن اتصال متقابل تهاجمی، به دلیل مقاومت کمتر و قابلیت اطمینان بهبود یافته، منجر به جایگزینی متالیزاسیون سنتی آلومینیوم با متالیزاسیون مس (Cu) شده است.

Poly-SiGe برای سنسورهای MEMS-بالاتر از CMOS سازگاری poly-SiGe با پس پردازش بالای گره های فناوری پیشرفته CMOS را از طریق ساخت موفقیت آمیز یک پلی- یکپارچه نشان می دهد. سنسور فشار پیزومقاومتی SiGe، که مستقیماً بالای 0.13 m Cu-backend CMOS ساخته شده است. علاوه بر این، این کتاب اولین بررسی دقیق را در مورد تأثیر شرایط رسوب، محتوای ژرمانیوم و غلظت دوپینگ بر روی خواص الکتریکی و پیزومقاومت پلی-SiGe دوپ شده با بور ارائه می دهد. توسعه یک جریان فرآیند سازگار با CMOS، با توجه ویژه به روش آب بندی، نیز توضیح داده شده است. سنسورهای فشار پیزورزیستیو با نواحی مختلف و طرح‌های مقاومت پیزو ساخته و آزمایش شدند. همراه با سنسورهای فشار پیزورزیستیو، سنسورهای فشار خازنی کاربردی نیز با موفقیت بر روی همان ویفر ساخته شدند که تطبیق پذیری poly-SiGe برای کاربردهای حسگر MEMS را اثبات می کند. در نهایت، یک تحلیل دقیق از تأثیر پردازش MEMS بر مدار CMOS زیربنایی نیز ارائه شده است.


توضیحاتی درمورد کتاب به خارجی

Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability.

Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.



فهرست مطالب

Front Matter....Pages i-xvi
Introduction....Pages 1-23
Poly-SiGe as Piezoresistive Material....Pages 25-49
Design of a Poly-SiGe Piezoresistive Pressure Sensor....Pages 51-73
The Pressure Sensor Fabrication Process....Pages 75-99
Sealing of Surface Micromachined Poly-SiGe Cavities....Pages 101-126
Characterization of Poly-SiGe Pressure Sensors....Pages 127-148
CMOS Integrated Poly-SiGe Piezoresistive Pressure Sensor....Pages 149-174
Conclusions and Future Work....Pages 175-180
Back Matter....Pages 181-199




نظرات کاربران