ورود به حساب

نام کاربری گذرواژه

گذرواژه را فراموش کردید؟ کلیک کنید

حساب کاربری ندارید؟ ساخت حساب

ساخت حساب کاربری

نام نام کاربری ایمیل شماره موبایل گذرواژه

برای ارتباط با ما می توانید از طریق شماره موبایل زیر از طریق تماس و پیامک با ما در ارتباط باشید


09117307688
09117179751

در صورت عدم پاسخ گویی از طریق پیامک با پشتیبان در ارتباط باشید

دسترسی نامحدود

برای کاربرانی که ثبت نام کرده اند

ضمانت بازگشت وجه

درصورت عدم همخوانی توضیحات با کتاب

پشتیبانی

از ساعت 7 صبح تا 10 شب

دانلود کتاب AIDA-CMK: Multi-Algorithm Optimization Kernel Applied to Analog IC Sizing

دانلود کتاب AIDA-CMK: هسته بهینه سازی چند الگوریتمی برای اندازه IC آنالوگ اعمال می شود

AIDA-CMK: Multi-Algorithm Optimization Kernel Applied to Analog IC Sizing

مشخصات کتاب

AIDA-CMK: Multi-Algorithm Optimization Kernel Applied to Analog IC Sizing

ویرایش:  
نویسندگان: , ,   
سری: SpringerBriefs in Applied Sciences and Technology 
ISBN (شابک) : 9783319159546, 3319159550 
ناشر: Springer International Publishing 
سال نشر: 2015 
تعداد صفحات: 71 
زبان: English 
فرمت فایل : PDF (درصورت درخواست کاربر به PDF، EPUB یا AZW3 تبدیل می شود) 
حجم فایل: 4 مگابایت 

قیمت کتاب (تومان) : 38,000



کلمات کلیدی مربوط به کتاب AIDA-CMK: هسته بهینه سازی چند الگوریتمی برای اندازه IC آنالوگ اعمال می شود: مدارهای مجتمع -- طراحی، هوش محاسباتی، مهندسی به کمک کامپیوتر، مدارهای الکترونیکی، مهندسی، بهینه سازی ریاضی، مدارهای مجتمع -- طراحی، بهینه سازی ریاضی



ثبت امتیاز به این کتاب

میانگین امتیاز به این کتاب :
       تعداد امتیاز دهندگان : 11


در صورت تبدیل فایل کتاب AIDA-CMK: Multi-Algorithm Optimization Kernel Applied to Analog IC Sizing به فرمت های PDF، EPUB، AZW3، MOBI و یا DJVU می توانید به پشتیبان اطلاع دهید تا فایل مورد نظر را تبدیل نمایند.

توجه داشته باشید کتاب AIDA-CMK: هسته بهینه سازی چند الگوریتمی برای اندازه IC آنالوگ اعمال می شود نسخه زبان اصلی می باشد و کتاب ترجمه شده به فارسی نمی باشد. وبسایت اینترنشنال لایبرری ارائه دهنده کتاب های زبان اصلی می باشد و هیچ گونه کتاب ترجمه شده یا نوشته شده به فارسی را ارائه نمی دهد.


توضیحاتی در مورد کتاب AIDA-CMK: هسته بهینه سازی چند الگوریتمی برای اندازه IC آنالوگ اعمال می شود

این کار به تحقیق و توسعه یک هسته بهینه‌سازی خلاقانه می‌پردازد که در طراحی مدار مجتمع آنالوگ (IC) اعمال می‌شود. به ویژه، این کار تغییرات داخل چارچوب AIDA را توصیف می کند، یک چارچوب اتوماسیون طراحی الکترونیکی که به طور کامل توسط گروه مدارهای مجتمع-LX در موسسه مخابراتی لیسبون توسعه یافته است. با تقویت AIDA-C که جزء بهینه‌ساز مدار AIDA است، با یک ماژول بهینه‌سازی چند هدفه جدید که پایه‌ای برای پیاده‌سازی الگوریتم‌های متعدد می‌سازد، بر AIDA-CMK تمرکز می‌کند. راه‌حل پیشنهادی سه رویکرد برای بهینه‌سازی چند محدودیتی چند هدفه، یعنی یک رویکرد تکاملی با NSGAII، یک رویکرد هوش ازدحام با MOPSO و رویکرد تپه‌نوردی تصادفی با MOSA را اجرا می‌کند. علاوه بر این، ساختار پیاده‌سازی شده امکان هیبریداسیون آسان بین هسته‌ها را می‌دهد و ماژول بهینه‌سازی ساده NSGAII قبلی را به یک ماژول پیشرفته‌تر و همه‌کاره‌تر تبدیل می‌کند که از الگوریتم‌های چند هسته‌ای و تک هسته‌ای پشتیبانی می‌کند. سه رویکرد بهینه‌سازی چند هدفه با معیارهای CEC2009 برای بهینه‌سازی چند هدفه محدود تأیید شد و با مشکلات طراحی IC آنالوگ واقعی آزمایش شد. نتایج به‌دست‌آمده از نظر عملکرد با استفاده از نتایج آماری به‌دست‌آمده از چندین اجرا مستقل مقایسه شد. در نهایت، برخی از رویکردهای ترکیبی نیز آزمایش شدند، که به طیف وسیعی از فرصت‌ها برای کاوش در کارهای آینده چشید. -اجرای چارچوب هدف - اعتبارسنجی هسته با استفاده از معیارهای CEC2009 - نتایج برای طراحی IC آنالوگ - نتیجه‌گیری و کار آینده.


توضیحاتی درمورد کتاب به خارجی

This work addresses the research and development of an innovative optimization kernel applied to analog integrated circuit (IC) design. Particularly, this works describes the modifications inside the AIDA Framework, an electronic design automation framework fully developed by at the Integrated Circuits Group-LX of the Instituto de Telecomunicações, Lisbon. It focusses on AIDA-CMK, by enhancing AIDA-C, which is the circuit optimizer component of AIDA, with a new multi-objective multi-constraint optimization module that constructs a base for multiple algorithm implementations. The proposed solution implements three approaches to multi-objective multi-constraint optimization, namely, an evolutionary approach with NSGAII, a swarm intelligence approach with MOPSO and stochastic hill climbing approach with MOSA. Moreover, the implemented structure allows the easy hybridization between kernels transforming the previous simple NSGAII optimization module into a more evolved and versatile module supporting multiple single and multi-kernel algorithms. The three multi-objective optimization approaches were validated with CEC2009 benchmarks to constrained multi-objective optimization and tested with real analog IC design problems. The achieved results were compared in terms of performance, using statistical results obtained from multiple independent runs. Finally, some hybrid approaches were also experimented, giving a foretaste to a wide range of opportunities to explore in future work.;Introduction -- Previous works on automated analog IC sizing -- AIDA-CMK: AIDA-C with MOO framework -- Multi-objective framework implementation -- Kernel validation using CEC2009 benchmarks -- Results for analog IC design -- Conclusion and Future work.



فهرست مطالب

Front Matter....Pages i-xi
Introduction....Pages 1-6
Previous Works on Automated Analog IC Sizing....Pages 7-16
AIDA-CMK: AIDA-C with MOO Framework....Pages 17-31
Multi-objective Framework Implementation....Pages 33-41
Kernel Validation Using CEC2009 Benchmarks....Pages 43-49
Results for Analog IC Design....Pages 51-61
Conclusion and Future Work....Pages 63-64




نظرات کاربران